Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to clone of the XDS510PP (TI DSP emulator) ?

Status
Not open for further replies.

analyze03

Newbie level 4
Joined
Feb 13, 2003
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
127
xds510pp

if I have the real one now and inside it contain of PPC34C60 , SN74ACT8990 , etc. .

Thank u.
 

act8990 vhdl

The first chip is Parallel port interface same as SMC chio SMC34C60, second chip is JTAG-Boundary scan interfave.

:D
 

3217259565

And schematic u_r_l is:
h**p://w*w.elektroda.pl/eboard/viewtopic.php?t=41047&highlight=xds510pp
:)
best regards.
 

xds510pp schematic

can i use these info to build a TMS320 simulator myself?it is expensive for me!
thanks!
 

xds510pp driver

Did somebody tried it?
I read some mentions here.
But links which lead to the schematic are dead.
Could you help me got the scheme?
Thanks
 

xds510pp plus schematic

check here:
**broken link removed**
 

act8990 vhdl model

the page is in chinese language and is to hard to translate.
if you have schematic or other info please share it.
bye.
G.
 

ti xds510 emulator schematic pci

I use one, I haven't open it, but I have used an other demo board that act as a JTAG controller over parallel port. Ther is an FPGA betwenn parallel port and DSP.
So not as easy as this to reverse this ...
 

download xds510pp

Suppose that you made one, I think the final price for your own emulator will be some thing larger than TI's then, I think do not waste your time, go and simply buy this from TI and then, use your time for thinking on new designs and ideas.
 

xds510pp +scheme

try this:
**broken link removed**

downloading two attached files in first post.

enjoy it!
 

tel 3217259565

i think that the bbs need a login and password, do you have one?
thanks.
G.
 

ti dsp 59

Mmm for this emulator pod in particular prices are quite expensive for component. In fact emulator cost more $$ than test board that have the same FPGA on it ... + the DSP + RAM ...

Yes, test board should be low price for interesting people ... always the same story.
 

ti clone dsp

Considering that that site is in chineese and for some of us is like chineese as matter of fact !!

could some chineese friend upload here !!!
 

tds510 clone

ok,ok!
take it!
 

smc34c60 vhdl

Hi,

Anyne have the PCB layout for these?
Pls Share

Thx + RGDs,

TR2000
 

ti jtag clones

hi, johan_tr2000
Files xds510pp_1.rar and xds510pp_2.rar uploaded by Harrylhq contain PCB for XDS510PP.

Best Regards.
 

new xds510pp quotation

Hi Paterpav,

What I mean is the PCB for XDS510PPCPLD version.
I need this becouse I can not find the SMC34C60 for the other XDS design.
Anyone can help?

Tr2000
 

xds510pp clone

hi which is the program to open the files inside the rar?
Bye.
G.
 

act8990 vdhl

tlp71@hotmail.com said:
hi which is the program to open the files inside the rar?
Bye.
G.

Altium Protel DXP
 

usb parallel port emulator

or P*rotel 99SE
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top