Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible to clone of the XDS510PP (TI DSP emulator) ?

Status
Not open for further replies.
Re: Is it possible to clone of the XDS510PP (TI DSP emulator

i am doing this job but i cann't buy 74act8990,who knows witch chip can
replace it? thank you!
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

I can't find some document about 8990 ,
I think it's not difficult to replace 8990 by a CPLD.
could some one give me a hand?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

TI didn't give detail spec of 8990,
such as register , addess etc.
I can't use it
does someone can disclose it?

Added after 25 seconds:

TI didn't give detail spec of 8990,
such as register , addess etc.
I can't use it
does someone can disclose it?
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Hi all,

I can not download:cry:
May you email the xds510_1.rar, xds510pp_2.rar to me ,thanks a lot..:D

My e-mail is "microrobot@paran.com"
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

xuruquan said:
i am doing this job but i cann't buy 74act8990,who knows witch chip can
replace it? thank you!

You can still buy 74act8990 from Digi-Key at $27 each.

Added after 8 minutes:

wally888 said:
TI didn't give detail spec of 8990,
such as register , addess etc.
I can't use it
does someone can disclose it?

Added after 25 seconds:

TI didn't give detail spec of 8990,
such as register , addess etc.
I can't use it
does someone can disclose it?


Take a look at TI application note scaa044 and Testability Primer ssya002c.
 

Hi,
I have any problems with reading this files from the page.
Files xds510pp_1.rar and xds510pp_2.rar uploaded by Harrylhq
are for me unvisible.
Please send me anybody this files.

Best Regards.
send me.~
hide4694@nate.com
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Hi Guyz!

Has anyone built a prototype of the clone?
If yes, how successful is it?

Giri
 

its really right,how about replace it with a fpga
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

old
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

thank
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

thanks for...
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Dear All,

Where can I buy SMC24C60? It's seems to be discontinued item.

TR2000
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Did somebody tried it?
I read some mentions here.
But links which lead to the schematic are dead.
Could you help me got the scheme?
Thanks VERY MUCH
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

SCH DOWNLOAD
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

plz XC9536 JED or Source file
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

HI ALL
here have all the schematic of TDS510 usb2.0 DSP emulator for you !!good luck!!
www.mcu123.net/bbs
 

Re: Is it possible to clone of the XDS510PP (TI DSP emulator

Hi Boy123,

The link is in chinese :? :. Can you please give any hints in this forum?

Thanks
TR2000
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top