Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Is it possible that Vera work with NC-verilog/vhdl ?

Status
Not open for further replies.

DeepIC

Member level 3
Joined
Oct 13, 2001
Messages
56
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
475
Vera & NC-sim

hi,all

Is it possible that Vera work with NC-verilog/vhdl ?
 

Re: Vera & NC-sim

Maybe NC-sim can support vera through PLI?
 

can you point me how to ?
 

Re: Vera & NC-sim

vera is belonged to synopsys.
ncsim is belonged to Cadence.
It stands to reason.
 

Re: Vera & NC-sim

I'm using VERA now with Modelsim and VHDL, and I think it does support NC-SIM.
 

Re: Vera & NC-sim

DeepIC said:
hi,all

Is it possible that Vera work with NC-verilog/vhdl ?

Refer to Vera's documents.
There is a step-by-step guide to compile the PLI for NC-Verilog.
 

Re: Vera & NC-sim

DeepIC said:
hi,all

Is it possible that Vera work with NC-verilog/vhdl ?

Yes they can co-work via PLI, but the speed is very slow.

So if you wanna use HVL, try "specman + any digital simulate" or "vera

+ vcs". :)
 

Vera & NC-sim

Is this also true for Vera 6.2 ? I'm wondering if there exist a simple way for making connection between Vera 6.2 and LDV 5.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top