Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Internal error at Syn/plify

Status
Not open for further replies.

Laplace

Advanced Member level 4
Joined
Feb 26, 2002
Messages
103
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,298
Activity points
659
Hey Guys,

After my verilog files are compiled at Sy/plify pro7.0, at the very beginning of synthesis step, I am getting "Internal error, please contact to Syn/plify support" message.

Has anyone got the same error before?

Thank you for your time.
 

I think you invoke synplify from ise5.1 with the os is winxp.

if so,do not set your winxp display mode as classic style,then it will be okay.
 

No...

I run syn/plify pro 7.02 on Linux red/hat 7.2.
 

Hi Laplace
Becuse you get the error at the beginning. I think maybe you haven't the right version of Synplify license
 

my_garden,

i have been using syn/plify for 3 years. I think I know how to install it and use it. Don't you think so?
 

I have a similar problem in my 7.2+w2k, but the problem gone with my 7.2+wxp. When I encountered this problem at w2k, I will close some of the applications and rerun the synthesis, then the process will be done without error. To some rare extreme cases, I need to reboot the PC and start the synthesis without running any other apps.

I think that may be due to runing out of some resources, as this workaround is working for my environment all the time, I don't look into deeper about the real cause and neither do I contact syn city as suggested in the error msg.

ntxp.
 

ntxp,

I think you are right. I am trying to synthsize a huge verilog code whic is about 7K lines. It consumes 42 % of 6M gate Virtex II.

I will try your suggestion and see what happens.

Thanks...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top