interfacing with FPGA

Status
Not open for further replies.

Majid Zamani

Newbie level 1
Joined
Dec 9, 2014
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
12
Hi

I am trying to implement the connection between FPGA and PC. I am using Artix 7 FPGA board, so I have USB to UART bridge converter on my board.

I have some questions:

1- when I send data from PC to FPGA, is it saved in the internal memory of FPGA?

2-About connecting the FPGA and PC, what methods can I use? using the instrument control toolbox, is the only way to connect the FPGA and PC? I want to send data from PC to FPGA, process it and send the processed data back to the PC.

Can use please send me the an example of implemented system.

Thank you for your help in advance.

Majid
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…