Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

interfacing FPGA to SMSC LAN91C111

Status
Not open for further replies.

vaf20

Full Member level 3
Joined
Jan 27, 2003
Messages
174
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
1,479
LAN91C111

Dear friends,
can anyone help me to interfacing FPGA to SMSC LAN91C111?
Thanks in advance
 

LAN91C111

I guess, the problem is not particularly in interfacing rather than configuring the MAC, configuring the PHY, providing an IP (possibly also UDP or TCP) network stack. If so, the question can't be answered in a brief. Usual FPGA ethernet communication solutions are performing most of the said tasks through a software processor as Xilinx MicroBlaze or &#65ltera Nios II. A pure hardware solution would be basically possible but involve a lot of configuration and management functions to be implemented in HDL.
 

Re: LAN91C111

Tnx FvM,
I do not want using MicroBlaze Soft processor. how can use pure HDL coding to configure and communicate with LAN?
Regards,
Vaf20
 

Re: LAN91C111

dear friends,
I want to know the minimum MAC configuration for interfacing with FPGA?
can anyone help me?
does anyone have sample code example for this?

Thanks in advance
 

Re: LAN91C111

I believe you will need to start thinking out the box. A minimal MAC will have at least an interface to your PHY device (in your case LAN91C111, but of course there are others).
Then you will need to handle the datastream coming in, and (most probably) put some storage device (FIFO) in the datastream so that the upper layers have time to take care of the different protocols.

Good luck
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top