Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

interfacing dac5662 to the vertex-5

Status
Not open for further replies.

aishwarya jain

Newbie level 3
Joined
Feb 13, 2013
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
i want know ,what all steps to be undertaken while interfacing these two(coding in vhdl)
 

Electrical point of view:
FPGA Bank supply should match with interfacing std between DAC and FPGA
Provison for DCI( on die termination in FPGA)

Coding:U need to take care of Timing and control logic based on your application.
 

through fpga 12 data lines are coming out,as the input for DAC5662 and WRT(write) pin is also a input to dac ......so can i get the idea to interface this.?having an internal voltage of 1.2v.
 
Last edited:

What is internal voltage 1.2V is it related to DAC?
Power supply of the FPGA bank should be same as ur DAC interface std.Suppose if the DAC interface is LVCMOS3V3 then you should connect 3V3 to FPGA bank supply... and regarding pin assignment FPGA pis are IOs so u can configure them as u want ....
 

through fpga 12 data lines are coming out,as the input for DAC5662 and WRT(write) pin is also a input to dac ......so can i get the idea to interface this.?having an internal voltage of 1.2v.

Hi aishwarya jain,

DAC5662 IC is dual channel and working @ rate of 275 MSPS. First you have to taken care whether you are giving data(12 bit- samples) @ rate 275 MSPS. That you have to match with your source clock. Second you are going use dual channels.Then If you generate the data with respect to SELECTIQ, and WRTIQ, you can able to see the output.
 

ya DAC internal voltage is 1.2V

- - - Updated - - -

thank u mr sureshaa.... i will try out

- - - Updated - - -

hi mr shivamani
ya 1.2V is the internal voltage of DAC.
 

OK You just follow the guidelines what i have suggested in my earlier post from FPGA point of view.And 1.2V Ref voltage is for Digital to analog conversion and it is not related to FPGA.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top