Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Interfacing ADC to FPGA altera DE2 board

Status
Not open for further replies.

atif.india

Newbie level 3
Joined
Feb 19, 2008
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,317
I am interfacing ADC with DE2 board. I am using ADC 0809. My problem is that ADC is giving output voltage as 5V for logic 1 and FPGa as 3.3V as logic high. Will there is any problem in connecting it directly or should i use any other ADC IC which gives o/p with 3.3V as logic high (compatible with FPGa) or do we have to use level shifter IC
 

First check your FPGA datasheet to see if your part has 5V tolerant I/O. If it doesnt then you might want to look into selecting a different ADC.

E
 

i have 8 bit serial output from the ADC08831 how i connect it to my DE2 board. and first, how do i test the ADC chip?
 

You connect it just like you would any other device. Write the code to manage the device. To get an idea goto Xilinx's web site and do a search for Coolrunner 2 app notes. They have one that details connecting an TI A/D to the device.


E
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top