Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

integrator using VHDL

Status
Not open for further replies.

V

Member level 3
Joined
Jan 20, 2005
Messages
67
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
500
vhdl integrator

I want to ask how can I write a integrator using VHDL?
how to use "if else" this kind of command to form a integrator?
thanks a lot~
 

integrator vhdl

Are you looking for simulation only integrator model OR
Digital equivalent synthesizable integrator???
 

integrator in vhdl

In fact, i need to write a correlation detector, and in between, there are 2 parts, signal multiplcation and integrator.
So, i want to write a integrator first.

thank you so much.
 

Re: integrator vhdl

Are you looking for simulation only integrator model OR
Digital equivalent synthesizable integrator???

I am trying to implement a digital equivalent synthesizable integrator... could somebody help me with this..
Did read about Digital Differential Analyzer... but its confusing.. could somebody help me with this..

Thanks in advance
 

can you show us the mathematical model your integrator?
 

can you show us the mathematical model your integrator?

Numerical Methods--Euler's Method
this is the procedure as far I know.. and btw I am using euler's forward method of approximation to perform the integration... There was another page which explained this in depth.. but that doesnt seem to be working now..
http://instruct1.cit.cornell.edu/courses/ece576/DDA/index.htm

Please find the attached document to check out what I have done.. Might be if you go through it.. you might understand it better..
 

Attachments

  • project01.zip
    160.4 KB · Views: 71
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top