Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Integrated Clock Gating Cell - Timing Constraints

iammituraj

Newbie
Joined
May 13, 2023
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
16
I have a negative latch based IGC like this in clock path which takes care of glitch on switching gate.

Capture.JPG

'gate' is coming from another clock domain sysclk. All clocks (clk, sysclk) are defined in SDC. Do I have to add any additional constraints here like clock gating check? I have a feeling whether I have to create a generated clock at the output of IGC.
 
the ICG cell will have these described in the LIB file, you do not need to add separate constraints. the same way we do not write setup/hold constraints for individual flops.
 
the ICG cell will have these described in the LIB file, you do not need to add separate constraints. the same way we do not write setup/hold constraints for individual flops.
Thanks for the response. What about generated clock? Should I create it at ICG output with same period as clk?
 
Generally, we define async clock between different clock domains.
If gate is driven from different async clock domain then path will become false path.

Why do you want to define generated clock at output of CGC?
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top