Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Input to FPGA--Output from FPGA

Status
Not open for further replies.

lmtg

Member level 3
Joined
Jan 25, 2009
Messages
65
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,288
Activity points
1,686
How do I input two 32 bit operands to FPGA?? And how do I read the output : (
 

i guess u should be having a development board. In that case the easiest way will be defining a memory and storing your values to the memory and u can store the output values to another memory. You can use Chipscope or Signal TAP to view your memory through JTAG

Next case is u can include an UART code into your FPGA and get the values from your PC and then u can send the output values back to your PC.
 

Would writing a VHDL code for a memory and downloading along with design be a good or bad idea??
 

Good or bad in what respect? You didn't tell what you want to achieve.
 

high speed and flexibility...
 

I wrote a memory for input and output... Can anyone tell me how do i read the memory inwhich I stored the outout values ??? :S
 

You can either send it serially for example with RS232 or send it parallel using timeplexing. There are more ways also.
 

dincay said:
You can either send it serially for example with RS232 or send it parallel using timeplexing. There are more ways also.

Can you refer me to more info on timeplxing...
 

Basically for taking input and output you have to use ADC and DAC or you have to configure GPIO ports of the Board. Pl tell which board you are using.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top