Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Input Duty Cycle in XILINX Virtex5 FPGA

Status
Not open for further replies.

shyam4908

Newbie level 6
Joined
Dec 1, 2009
Messages
14
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,402
Hi,

Can i convert the input clock with duty cycle 40/60 to nearly 50/50 duty cycle using DCM (or) PLL in xilinx Vitertex5 FPGA

Regards
Shyam
 

Well, you can set the DCM's DUTY_CYCLE_CORRECTION attribute to true.
Refer to Virtex-5's user guide ug190 and search 'duty cycle correction' for more details.
 

    shyam4908

    Points: 2
    Helpful Answer Positive Rating
Thanks philoman...
But the duty cycle correction works only for clk1x..i.e. clk0, clk90, clk270...but for clkfx it varies depend on M/D....i do not want to use one more dcm to generate clkfx using the output of first dcm..i want to know the tolerance for M/D values..
 

Hi shyam,
U can use PLL's "CLKOUT[0:5]_DUTY_CYCLE" attribute to get required (50%) duty cycle.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top