Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

inout port connection

Status
Not open for further replies.

jakyshan1990

Junior Member level 2
Joined
Mar 10, 2011
Messages
22
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,393
how to connect 2 inout ports
1st port is declaring in block named by memory
2nd port is declaring in block named by control
 

Join them in port map directly. It works when I connected a top level inout port to inout port of two components inside it.
 

i did this really but there is error appear
-- that the signal which connect between them has multiple driver

Hi :oops: did you try directly giving the port name of one component into the other without the signal?
 

this can't be happen in structural modeling
the port assigned must be defined in the top module .
these are connected by signal only
 

Could you post the snippet of VHDL you are having trouble with?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top