Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Initialize Ram using verliog - urgent

Status
Not open for further replies.

gokulfun

Newbie level 3
Joined
Mar 31, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
india
Activity points
1,316
Hi all,

I need to Initialize all the data RAM to $55 (use a loop for this) in verilog.
The data ram memory size is 256.
We need to Initialize memory using software and not hardware.
Can anyone pls help me resolve this issue..

Thanks in advance..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top