Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Inferring TRUE Dual-Port RAM ???

Status
Not open for further replies.

ed271828

Junior Member level 1
Joined
Jun 27, 2006
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,385
inferring true dual port ram

Hi,

It seems that (some?) synthesis tools do not allow us to infer TRUE Dual-Port RAM, eg: two independent read and write ports. Is there a particular reason for this? Do you know of any synthesis tools that actively supports this? I don't believe that either Altera Quartus II or Mentor PrecisionRTL does.. what about Xilinx ISE, or Synopsys DC-FPGA, etc.

Thanks,

Ed
 

xilinx inferring dual port ram

Xilinx ISE doesn't infer true dual port RAMS either, at least not before ISE 6.1 which is the version I am currently using. I don't know why they cannot infer true dual port RAM, probably because not all the devices support this type of Memory.
 

fpga true dual port ram

maybe u should use the IPcore provided by FPGA vendors.
 

synplify+dual true port

Synplify does infer True dual port RAMS. if u follow there coding guidelines.
Mentor precision doesn't
 

inferring rams

freeinthewind said:
maybe u should use the IPcore provided by FPGA vendors.

That's clearly what they want us to do, but it's a pain in the @ss when you want to generate a parameterizable design. Design portability is also an important issue.
 

what is true dual port ram

Xilinx Coregen allows you to generate true Dual Port RAMs. Seperate read and write ports. If you use coregen allows you to configure the dual port RAM for various sizes and other parameters.

Portability is still a problem since it will only work for Xilinx.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top