Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] in verilog/systemverilog does the following task can be used...

Status
Not open for further replies.

hpb

Member level 2
Joined
Oct 11, 2012
Messages
47
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Activity points
1,578
Is it possible to pass an integer to a task as an argument in verilog/system verilog?
 
Last edited:

Certainly.
 
  • Like
Reactions: hpb

    hpb

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top