implementing pi and pid controllers using vhdl programming

Status
Not open for further replies.

chunduri balaji tilak

Newbie level 2
Joined
Jul 13, 2010
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
tirchy
Activity points
1,291
i am trying to implement pi and pid controller on fpga using VHDL programming and i am finding it difficult to use ki, kp and kd values which are decimal or real in nature.
i understand that VHDL is strongly typed language and it does not accept ordinar conventional type transformations. so i need some feeds on this. thankyou.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…