Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Implementing many instances of one block

Status
Not open for further replies.

elockpicker

Member level 4
Joined
Jul 25, 2008
Messages
74
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,813
Hi,

I have a simple block of combinational logic (in VHDL) but I need 16 of it.
How can I do it without writing a lot of code ?

Thank you in advance
 

You can use the generate statement:

for i in 1 to 16 generate
<what to generate>
end generate;

Devas
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top