Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Implementing data transfer protocols in VHDL

Status
Not open for further replies.

garvind25

Full Member level 3
Joined
Oct 28, 2012
Messages
176
Helped
0
Reputation
0
Reaction score
1
Trophy points
1,298
Activity points
3,066
Hi,



I wanted to implement data transfer protocols like SPI, I2C, CAN etc in VHDL and wanted to know the following:


** When we say we are implementing a data transfer protocol in VHDL, does it mean we are implementing its data transfer controller? Or is it something else?

** Which according to you will be the easiest to start off with?

** Where do I get the protocol details? Pls suggest some simple source (easy to understand). Also, is it possible to get its flowchart? Somebody advised me to look for its flowchart as it can be easily implements in any HDL.


Looking forward to your answers and comments.


Thanks,
Arvind Gupta.
 

Hi,

Implementing means you design (or prepare) the physical interface.
(Shift registers, address registers, data registers, acknowledge, data direction switch...)
And you design (or prepare) the protocol. (In parts already mentioned above)

SPI is simplest ... basically just shift registers ... the rest is application specific.

I2C and CAN are well specified protocols. Just do a search for "I2C specification" for example.
If you want to be compatible I recommend to read the original specification ... no "simplified" description.

Klaus
 

    garvind25

    Points: 2
    Helpful Answer Positive Rating
Hi,

I wanted to implement data transfer protocols like SPI, I2C, CAN etc in VHDL and wanted to know the following:

Thanks,
Arvind Gupta.
Hello,

you put these three protocols in one bag, but these three protocols vary widely. The first two SPI and I2C are so called "one board" protocols and them must shall lie within one PCB board (and are rather easy to implement). In the contrary CAN is protocol which can be used on long distances and is difficult to implement (and needs hardware driver IC). You can find examples of implementation of these three protocols on website Opencores.org

Best Regards
 

    garvind25

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top