Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

IMPCCOPT-4142 Could not find a parent clock tree (Innovus)

Status
Not open for further replies.

stevenv07

Member level 2
Joined
Aug 11, 2020
Messages
43
Helped
0
Reputation
0
Reaction score
1
Trophy points
8
Activity points
404
Hello everyone,

In my design, I have a generated clock. I set "set_ccopt_property sink_type stop -pin top/clk_div_gen_reg[0]/CP". Then, the Innovus tool issues a warning as follows:

**WARN: (IMPCCOPT-4142): Could not find a parent clock tree for generated clock div_clk2. Creating a non-generated clock tree.

Could you please help me explain this issue?

Thank you so much.
Steve.
 

what happens if you just create the clocks on SDC and leave the ccopt properties untouched? in most cases I have found that the tool is smart enough to handle generated clocks automatically.
 

what happens if you just create the clocks on SDC and leave the ccopt properties untouched? in most cases I have found that the tool is smart enough to handle generated clocks automatically.

There is no "set_dont_touch" clock network in the SDC file. Do you mean we need to create SDC with ccopt properties for Cadence Innovus?
 

no no, I mean on the SDC file you should describe all the clocks, generated or otherwise. and let the ccopt tool pick up the information from there. it usually works and requires no ccopt_* changes.
 

no no, I mean on the SDC file you should describe all the clocks, generated or otherwise. and let the ccopt tool pick up the information from there. it usually works and requires no ccopt_* changes.

Sure, I defined all the generated and master clocks in the SDC. After synthesizing, DC writes out an SDC. Then, Innovus uses that SDC. I do not change anything.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top