IEEE.std_logic_misc.ALL

Status
Not open for further replies.

mcfly

Newbie level 5
Joined
May 22, 2003
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
152
std_logic_misc

i'm using max plus II v10.2.
i came across the code that need 2 use this library

IEEE.std_logic_misc.ALL

i dlloaded the code and save it into the maxplus2\vhdl93\ieee folder. i name the file as misc.vhd. am i save it as a correct file name? or should i save as std_logic_misc.vhd

but then when i compile the file using the max plus 2, it promp me an erro saying that it might have a syntax or semantic error.

when i look at the code...i saw a line of code sound like this:

Library Synopsys;
is this the reason thta cause the error as the max plus 2 does not supprt the synopsys library? if it is..what can i do?
 

ieee.std_logic_misc

to use library ieee you just have to write:
library IEEE
use IEEE.what_you_need.ALL
you don't need to save it to ieee forlder.You have to rename your file with you entity name(maxplus requires it) and that's it.
 

ieee.std_logic_misc.all;

i don get it when u say just rename the entity name?? is it save it as the same name as the entity??

but the prob is that ..in my library i cant find the this IEEE.std_logic_misc.ALL.

there are unsigned, signed, arith etc, but no misc. i found the misc code and i save into the IEEE library and try to simulate it..it prompt me an error.

i think that the error is due to the line of code in misc that written as below

Library Synopsys;

so i think my max plus 2 cant simulate bcos of the synopsys library.
really need help here...i'm searching for synopsys library now as i dont know where i should head to...
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…