Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

I2C Programming using 8051

Status
Not open for further replies.

kaze

Newbie level 5
Joined
Oct 13, 2005
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,383
Dear all,
I'm a beginner in I2C programming I want to connect AT89S52 with DS1624 using I2C protocol. I'm having trouble with the SCL and SDA clocking time. I've tried many ways and unable to make the square wave signal. It seems the trouble with the delay on my I2C programming. I wanna ask is there any calculation formula to find out the delay that I must use in my programming to make the signal is good. Thank you for u're help.

Best Regards
 

Thank for u're help budhy but I'm still confused caused in the code that u've hyperlink for me written:

BitDly: NOP
RET

that mean that only need small delay for I2C but for my case I have try many combination of delay code, and I've had use like that before but it didn't work and I've checked the I2C signal on the agilent the signal is quite bad. The signal form like a triangle waveform and have a signal phase delay on it.

I would ask how could I know that the code that I've made have right although the signal that I've found in the agilent is bad?

Thank you
Best regrads
 

Do you pull SDA, SCL lines up?

You problem is a hardware problem, not a software problem
 

    kaze

    Points: 2
    Helpful Answer Positive Rating
I've pull the SDA and SCL line but maybe the R that I've used is insuffiecient to pull the lines, cause recently I've only used 1K ohm. Now I will try to pull with a larger R for the SDA and SCL.

Thanks for u're helped budhy.:D
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top