I used NC-VHDL, but how to dump signals

Status
Not open for further replies.

roger

Full Member level 3
Joined
Aug 27, 2003
Messages
162
Helped
4
Reputation
8
Reaction score
0
Trophy points
1,296
Activity points
1,617
the step seems to be:

run ncsim -tcl worklib.system:blk

ncsim> source ***.do

where ***.do contain
call vcdfile demo.vcd
call vcdaddscope :i_cpu:i_ccu -a
call vcdaddscope :i_pram2 -a
run 12500 ns
exit

but it show
ncsim> Error,no items added due to lack of read access
why? help me please
 

I had found the solution

while run ncelab add "-access +r" , then vcd command can access the internal NC database and dump signals

happily shared with you
 

Sorry , But I found the following problem
1. cannot dump top entity signals
2. dumped bus got back-slash "\", & doesn't meet the original signals
so cannot use its integrate debug environment.

Help me please
 

I used the GUI nc_vhdl, and dump the VCD file. you can try it.
 

hoe to do that in GUI mode , please
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…