velu.plg
Member level 5
- Joined
- Jul 30, 2013
- Messages
- 93
- Helped
- 1
- Reputation
- 2
- Reaction score
- 1
- Trophy points
- 1,288
- Location
- chennai
- Activity points
- 1,974
i have some problem with my xilinx 12.1 software
during check syntax process (for any simple programs) it gives failer response
this is my and gate program....
error:
Started : "Check Syntax for a".
INFO:HDLCompiler:1061 - Parsing VHDL file "F:/vlsi project/AV00 _samplecode/vhdl basic/test/a.vhdl" into library work
INFOrojectMgmt:656 - Parsing design hierarchy completed successfully.
Running xst...
Command Line: xst -intstyle ise -ifn {F:/vlsi project/AV00 _samplecode/vhdl basic/test/a.xst} -ofn a.stx
=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "F:/vlsi project/AV00 _samplecode/vhdl basic/test/a.vhdl" in Library work.
Entity <a> compiled.
Entity <a> (Architecture <Behavioral>) compiled.
Process "Check Syntax" failed
during check syntax process (for any simple programs) it gives failer response
this is my and gate program....
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity a is
Port ( a : in STD_LOGIC;
b : in STD_LOGIC;
c : out STD_LOGIC);
end a;
architecture Behavioral of a is
begin
c <= a and b;
end Behavioral;
error:
Started : "Check Syntax for a".
INFO:HDLCompiler:1061 - Parsing VHDL file "F:/vlsi project/AV00 _samplecode/vhdl basic/test/a.vhdl" into library work
INFOrojectMgmt:656 - Parsing design hierarchy completed successfully.
Running xst...
Command Line: xst -intstyle ise -ifn {F:/vlsi project/AV00 _samplecode/vhdl basic/test/a.xst} -ofn a.stx
=========================================================================
* HDL Compilation *
=========================================================================
Compiling vhdl file "F:/vlsi project/AV00 _samplecode/vhdl basic/test/a.vhdl" in Library work.
Entity <a> compiled.
Entity <a> (Architecture <Behavioral>) compiled.
Process "Check Syntax" failed