Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

hsim error: segmentation violation, aborting…

Status
Not open for further replies.

rhearao

Newbie level 2
Joined
Jul 18, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
Hi guys,

"error: segmentation violation, aborting… " happens when I use hsim to run flash memory fullchip simulation. Has anyone ever met this issue before?
if I set the global precision to 1) hsimspeed=3 hsimspice=0 hsimanalog=0 It will use up all memory and terminate at ~90%
2) or set: hsimspeed=3 hsimspice=1 hsimanalog=1 The output is "error: segmentation violation, aborting… "
3) otherwise set: hsimspeed=4 hsimspice=0 hsimanalog=0 or lower precision The simulation would finish but the function is not accurate enough.

Looking forward to any kindly reply!
Thanks.
 

Segmentation violation usually also means "short of memory" (data segment runs into code segment). Try to spend more memory -- if only virtual memory -- for your sim. process!
 
while simulating it only used about 5% memory (total 74G ), I think memory is enough for the simulation.
It's wield that I can run FF corner seperately, but if I use .alter in the .sp file the simulation will`` terminate.
for example
: xxx.lib tt
xxx
.alter
xxx.lib ff
xxx
.alter
xxx.lib ss
xxx

---------- Post added at 23:08 ---------- Previous post was at 22:41 ----------

while simulating it only used about 5% memory (total 74G ), I think memory is enough for the simulation.
It's wield that I can run FF corner seperately, but if I use .alter in the .sp file the simulation will`` terminate.
for example
: xxx.lib tt
xxx
.alter
xxx.lib ff
xxx
.alter
xxx.lib ss
xxx
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top