How would I replicate this VHDL process into Verilog

Status
Not open for further replies.

LearningSoMuch

Newbie level 2
Joined
Dec 25, 2017
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
18
How would I replicate this VHDL process into Verilog?
Code:
begin
		inputa <= (others => '-');
		inputb <= (others => '-');
		outputa <= "-";

		if a = '1' then b := "1"; else b := "0"; end if;
then inputa, input b, and outputa is
Code:
signal inputa, inputb, outputa : unsigned(31 downto 0);
a is input std_logic
b is variable b : unsigned(0 downto 0);
 

rather simple:


Code Verilog - [expand]
1
b = a;



inputa, inputb and outputb do nothing.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…