Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How use Verilog modules on VHDL code

Status
Not open for further replies.

OvErFlO

Full Member level 3
Joined
Dec 7, 2001
Messages
178
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
1,342
I have a problem, I have design a project in VHDL, this project use a SRAM, but the functional module of SRAM is in verilog.It's possibles recall verilog module in VHDL ?

I have try to traslate verilog code to VHDL with XHDL, but it insert in vhdl code more unknow function like :

HASH( )
WRITE ( )
TO_STRING
TO_INTEGER

and more error, like :

No feasible entries for infix operator "<".
Type error resolving infix expression "<".

It's possible use another way ?

thanks
 

Which software are you using?

When I use Xilinx ISE, I can simply include *.v and *.vhd files into my project, and XST compiles them all together. I can instantiate VHDL modules into my Verilog by using an ordinary Verilog module instance. I didn't try the other way around, but I imagine it would work fine. What error messages are you getting?

I haven't found any tool that does a decent job converting Verilog <--> VHDL. I tried X-HDL3 and one or two others whose names I forget.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top