Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use $setup,$hold and $width system tasks in verilog??

Status
Not open for further replies.

aswin123

Junior Member level 2
Joined
May 26, 2007
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,441
verilog $width

How to use $setup,$hold and $width system tasks in verilog.

in which block we can use these statements (i mean always block or specify block)

can anybody expalin me with example
 

$setup verilog

use them like this(specify block is located between module and endmodule)

specify
specparam
tIFCLK=20.83,
tSRD=12.7,
tRDH=3.7,
tSWR=12.1,
tWRH=3.6,
tSFD=3.2,
tFDH=4.5,
tSFA=25,
tFAH=10;
$setup(slrd,posedge clk,tSRD);
$hold(slrd,posedge clk,tRDH);
$setup(slwr,posedge clk,tSWR);
$hold(slwr,posedge clk,tWRH);
$setup(data,posedge clk,tSFD);
$hold(data,posedge clk,tFDH);
$setup(fifo_addr,posedge clk,tSFA);
$setup(fifo_addr,posedge clk,tFAH);
endspecify
 

verilog $setup

any verilog books can solve your problem
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top