Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use ModelSim and Debussy produce fsdb(verilog) ?

Status
Not open for further replies.

realtek

Member level 5
Joined
Mar 15, 2004
Messages
89
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
870
vsim-pli-3003

In modelsim(verilog)
it's the same procedure as VHDL??( ref: about Debussy : yifen)
Is there anyone have been produced fsdb (verilog code) througn modelsim/Windows OS can give a detail procedure as VHDL !
tks in advance
(only in modelsim compiler, not in V-XL or NCV or VCS)
(I try following procedure , but fail)
1. copy C:\Debussy\share\PLI\modelsim_pli54\WINNT\novas.dll to c:\ModelSim\win32

2. copy C:\Debussy\share\PLI\modelsim_pli54\WINNT\novas_vlog.v to c:\work\rtl\

3. in testbench(pattern.v)
add
//===================
initial
begin

$fsdbDumpfile("pattern.fsdb");
$fsdbDumpvars(0,pattern);
end
//========================

4. compiler novas_vlog.v rtl.v pattern.v , it seems OK

5. when SIM, show warning message as follow


# ** Warning: (vsim-PLI-3003) [TOFD] - System task or function '$fsdbDumpfile' is not defined.
# Region: /pattern
# ** Warning: (vsim-PLI-3003)
[TOFD] - System task or function '$fsdbDumpvars' is not defined.


==> no fsdb file produce ??????
 

modelsim debussy

You must modify the modelsim.ini.
Under windows OS:
you should find "veriuser" in modelsim.ini file,then delete the ";"and change to :"veriuser = novas.dll ",after you copy this file to modelsim directory /win32.
BTW:if you want to modify permanent,you can change the modelsim.ini in modelsim installed directory.or you just change this file in your work directory.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top