Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to use fpga memory. please help me.........

Status
Not open for further replies.

hari10

Newbie level 4
Joined
Sep 25, 2012
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,315
hi..i want to use fpga memory .......is there any command for doing this..if yes plz tell me........because i dont want to use register array in my program....
thnx in advance.......
 

Use the block RAM by instantiating it in the VHDL code:


Code VHDL - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
-- Block SelectRAM Instantiation
U_RAMB16_S36: RAMB16_S36
port map (
DI => DATA_IN (31 downto 0), -- insert 32 bits data-in bus (<31 downto 0>)
DIP => DATA_IN (35 downto 32), -- insert 4 bits parity data-in bus (or <35 downto 32>)
ADDR => ADDRESS (8 downto 0), -- insert 9 bits address bus
EN => ENABLE, -- insert enable signal
WE => WRITE_EN, -- insert write enable signal
SSR => INV_SET_RESET, -- insert set/reset signal
CLK => CLK_BUFG, -- insert clock signal
DO => DATA_OUT (31 downto 0), -- insert 32 bits data-out bus (<31 downto 0>)
DOP => DATA_OUT (35 downto 32) -- insert 4 bits parity data-out bus (or <35 downto 32>)
);




From https://www.es.ele.tue.nl/mininoc/doc/xapp463.pdf.

Thanks.
 
  • Like
Reactions: hari10

    hari10

    Points: 2
    Helpful Answer Positive Rating

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top