Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to use core generator to access memory modules?

Status
Not open for further replies.

suddy72

Member level 2
Joined
Jun 28, 2007
Messages
50
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,694
Hi,
I am currently trying to use core generator to access memory modules.
I want to sample some data then write each sample into this memory block. Does any one know how to do this using core generator/ or if its possible to do this ?

thanks.
 

Re: Core Generator

hi mate,
Thanks for replying.
Thing is i dont know what one because i am fairly new to this.
What i want to do is sample some ADC data then store these samples in memory and i have been told you can store in in build in memory on the FPGA. i am using a virtex II Pro. Also got told core gen is the way to do it. I am using ISE 9.2 as development tools.

Got any ideas how i write to memory ?

Sorry if thats seems confusing , i am new to this , hehe :)
 

Re: Core Generator

Your first course of action needs to be sitting down and reading the users guide that is available for the VII Pro. After that you need to read about the Core Generator. Once you have done this then, and only then, should you be asking questions.

Dont be like the majority of people on this board who expect someone else to do the work for them. Do some reading and learn about the device and tools a bit. You will be suprised how many of your questions will be answered by doing so and in the process you will become a better designer.

BTW, you dont have to use Core Generator for your memory needs, you can write the code yourself if you think you are up to the challenge.

E
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top