Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to transfer the data from PC to FPGA Board thru RS-232?

Status
Not open for further replies.

kumar_eee

Advanced Member level 3
Joined
Sep 22, 2004
Messages
814
Helped
139
Reputation
276
Reaction score
113
Trophy points
1,323
Location
Bangalore,India
Activity points
4,677
I want to implement UART in FPGA... I want to display the data which I am sending it from my PC... For that I hav to use RS-232 connection...
How to transfer the data from PC to FPGA Board thru RS-232?...

K.Kumar
 

tx/rx to uart converter board

go to opencores.org and download the uart from there. there is also a uart from cmosexod that works great.

or you can download the Picoblaze uart from xilinx. it consists of a separate reciever and transmitter and each takes only 18 or 22 slices in an FPGA
 

Re: UART

Here is the verilog code for uart receiver, adjust you clock, create bitstream, download to FPGA and enjoy
 

Re: UART

Hi Friend

How to use UART with Xilinx FPGA

you can use UART core from Xilinx it easy and good work

you can search in Xilinx website or Download from Here.

bye
 

UART

Do you have max232 or something like that between FPGA and PC?
 

Re: UART

yes!

usually the development kits that different companies make, have max232 on board
 

Re: UART

For UART, the most easy is connect the TX, RX and Gnd line btw the PC n the FPGA. Just connect the I/O pins of the FPGA board to the MAX232 converter that attached to the PC.
 

Re: UART

the max232 only level converter because the volt from the serial between 12 and -12 and the fpga 3.3volt so we use the max232 but it is not satsfy the portocol

for the UART i make it my the blocks of picoplaz and i think it is good
 

Re: UART

I have already downloaded MiniUART from www.opencores.org. I don't know how to transmit the data from serial port to FPGA and show by 7-segment.
I used FPGA development board with xc2s15-tq144.
I have done with <sevenseg.vhd+sevenseg.ucf>. It was the auto counter (from 00-->99).
Pls help me,
PS: I am studying FPGA only.
 

UART

hi,
if i'm not mistaken, if your development board has a UART, then find the pinouts of that, and instantiate it in your vhdl design. i believe this must be the procedure. also, is it a custom board which hosts the fpga or is it a development board offered by some company ? coz, if its a development board, u can post the datasheet or the link where the info about the board is there. that might help others figure out the problem
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top