Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to synthesize sfixed division.

Status
Not open for further replies.

nandakishore.mehrwade

Newbie level 3
Joined
Apr 26, 2011
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,302
Hi everyone,
I am using fixed_pkg.vhd for our project. I want to divide two signals of type sfixed. When I use normal division ('/') operand I am able to simulate. But when I try to synthesize, it shows error division operand cannot be synthesize. Can anyone please let me know how to proceed. Is there any package available to do this. Thank you in advance.
 

what problem are you getting? general refusal or timing failure?

I would never use the "/" operator directly because it offers no pipelining. For a decent clock speed, you will need several pipelining stages, which is probably why you are getting failures. Altera and Xilinx ofer fixed point divider IP blocks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top