Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to synchronize multi-cycle signal in Clock domain Crossing ?

Status
Not open for further replies.

sarang5s5

Newbie level 5
Joined
Nov 10, 2017
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
78
We all know how to synchronize a pulse of a signal (single-cycle pulse) in Clock Domain Crossing (CDC) techniques. Can anyone help on how to synchronize a multi-cycle pulse ? For example, a single-bit signal goes HIGH for more than 1-clock cycle and goes LOW after some clock cycle. In this case, how to synchronize this signal to other clock doamin ?


Thanks in advance.
 

We all know how to synchronize a pulse of a signal (single-cycle pulse) in Clock Domain Crossing (CDC) techniques. Can anyone help on how to synchronize a multi-cycle pulse ? For example, a single-bit signal goes HIGH for more than 1-clock cycle and goes LOW after some clock cycle. In this case, how to synchronize this signal to other clock doamin ?


Thanks in advance.
@sarang5s5
For a single bit, not-so-frequently-changing signal, you can use the same technique!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top