Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to start xilinix fpga?

Status
Not open for further replies.
Hi,

concerning the conversion of FPGA to ASIC.

I have asked this question to Xilinx and they used to offer this service, but don;t anymore.
The cost was fairly low.
Now you have to go to commercial FPGA to ASIC conversion vendors.
They charge you up to $50.000 (ex. VAT) for a conversion.
You have to supply the designs, testbenches and all the help they need from you.

You get a minimum of 1000 chips for that price, but that is a little expensive if you need only 1.

Some other chpi manufacturers still offer this service, but it is still fairly expensive...

try to use CPLD's (Multiples of you can't fit it in one), AntiFuse FPGA's or Vitrex who can offer DES encryption for the configuration Stream.

greetz, venz.
 

Regarding the software you could use to design FPGA.

1) A good way to start if you work with xilinx software would be to download their free software they call the ISE WEBPack. You will be able to synthesize almost every X*Ilinx FPGA with less than 300kgates.

2) If you want to do some simulation, i suggest you to use Act*i*Ve HDL software...though this softare is not free. If you don't want to pay for a simulation software, i think you can use Xil*inx ModelSim sotware...in its reduce version its free (if i recall correctly).

For the hardware part, i would suggest you to look for an evaluation board of the FPGA you want to work with. The electronics supplier Insight are selling those...but they are not cheap.

Hope this help.
ktuluboy
 

Also, you need to decide if you want to program FPGA in Verilog or in VHDL. I prefer usign VHDL...but i guess it's a matter of taste.

There a lot of good ebook about VHDL (and also verilog) in the ebook section of the Elektroda forum so make sure you stop there.

ktuluboy
 

Hi there,

1) Try to download the ISE Webpack tutorial from Xilinx.com, It'll give you a very good intro. This can also be found on there free CDROM. Try to request a free copy as it'll contain an additional data library for their models.

2) there are many prototyping and evaluation boards with very reasonable prices, check www.digikey.com, they have a huge inventory and a very reliable delivery response.

Hope this helps.

Yours,
 

Altera is cheaper than xilinx with the same gates.
but xilinx is powerful to design and develop. i prefer to the xilinx for its function and its software.
 

I suggest you using xilinx,because xilinx software is stronger than altera and simple for a newhand
 

your choice is very good, for I am using the xilinx CPLD or FPGA products.
It has a completely design method and process.
certainly, Its costs is a little higher than altera.
but the software of altera corp. is limitted in function.
In this point, i agree with qixie.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top