Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to start with VCS, difference between VCS and DVE

Status
Not open for further replies.

vlsi_freak

Full Member level 2
Joined
Sep 3, 2007
Messages
127
Helped
14
Reputation
28
Reaction score
8
Trophy points
1,298
Activity points
2,041
vcs debug_all

Hi All,

I am new to VCS; coming from a modelsim environment.
Please let me know how to start with VCS.

What is the difference between VCS and DVE.

Suppose i have a file called, adder.v; then how can i run a manual simulation in VCS so that i can force the values to signals and know the output like what we do in Modelsim.

Please help; if you any materials on beginers guide to VCS; plase share it here...

regards,
freak
 

vcs + force values

vlsi_freak said:
Hi All,

I am new to VCS; coming from a modelsim environment.
Please let me know how to start with VCS.

What is the difference between VCS and DVE.

Suppose i have a file called, adder.v; then how can i run a manual simulation in VCS so that i can force the values to signals and know the output like what we do in Modelsim.

Please help; if you any materials on beginers guide to VCS; plase share it here...

regards,
freak

You cant run VCS like a modelsim by manual forcing.. all you need to do write simple testbench with force statemetn and then simulate.
here is the command
vcs -R testbench.v rtl.v -log logname.log

you can find the document under the VCS installation directory
 

force command in vcs

Well you can force the values in the DVE but to invoke the waveform you need to use -debug_all, then an executable file is generated, run that and force values. But indeed writing testbench is the better of the two. Also you can annotate values to see the effect at every simulation step.

vcs adder.v -debug_all +v2k
./sim.v

Now force values using force command.

-Nik
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top