Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to simulate more faster with modelsim?

Status
Not open for further replies.

kissmoh

Junior Member level 1
Joined
Jan 17, 2013
Messages
16
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,443
im using modelsim for simulation and more than 3 sec simulation time is needed
it spends more than 2 hours now, so i want to know the methods how to speed up of it.

the only thing im using is the waveform graphic, so other additional information is not needed.
there were some technique founded after searching the internet but it didnt show any noticeable changes.


i think if the additional process for calculating other information is removed, it will can be faster.
can someone teach me any technique?
 

Waveform window kills performance if there are a lot of signals. Enable optimizations. And only enable visibility for specific modules you are trying to observe.

Regards
 

1. Make your testbench self checking so you do not need a waveform.
2. Remove the clocks from the waveform, or hide them inside signal groups.
3. dont use the -novopt switch
4. only simulate the logic you are interested in. Replace other modules with behavioural models.
5. Pay for a more expensive licence (the cheaper licences have a "go-slow" built into them).
 

To clarify, to enable visibility for a specific module(s).

vsim -voptargs=+acc=npr[+<module>[.]]

you can add as many module names (the optional '.' which includes submodules) as required (or none).
the npr specifies what is visible: i.e. net, ports, registers...another userfule one is t -for tasks and functions.
if you leave off the =npr then everything is visible for the specified optional modules.

I've never tried using the '.' as I prefer calling out the sub-modules directly.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top