Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to simplified the following SVA?

Status
Not open for further replies.

yuanpin318

Newbie level 2
Joined
Aug 19, 2004
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
12
I am newer for SVA:

I wrote the code:

always @(posedge CLK)
if (a == 1)
begin
if (cnt <3)
begin
cnt ++;
end
else
begin
check_en <= 1;
end
end
else
begin
cnt <= 0;
check_en = 0;
end

assert property @(posedge clk) (check_en |-> b == 0);

I felt it's very tedious for the verilog code, anyone cany simplified this SVA?
 

I'm going to check if signal a is asserted for 3 cycles, then in continuous cycles, if a == 1, expect b == 0
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top