Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to see the simulated waveform in debussy?

Status
Not open for further replies.

yifen

Junior Member level 2
Joined
Jan 31, 2002
Messages
22
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,281
Activity points
126
Dear all :
When I use modelsim to simulate my design, how can I see the simulated waveform in debussy ?
 

debussy eda

yifen said:
Dear all :
When I use modelsim to simulate my design, how can I see the simulated waveform in debussy ?

You can use fsdbDumpVars to dump the waveform into a .fsdb file.
 

fsdbdumpvars vcs

Of course you will need to compile your code together with the pli provided for modelsim. There should be a run script in the demo directory, which will provide some help.
 

fsdbdumpvars pli verilog-xl

generate the VCD file, Debussy can work with VCD. use the task function, such as "$dumpvars(1, top)"
 

debussy vcd

I think this tutorial will be useful for you.
enjoy it.
 

debpli.so nc_xl verilog-xl

open the wave form window and draw the signal which you want to see
then run the simulator :lol:
 

about debussy

May be this is not a good question, but,
does anybody know wich one of these waveform viewers is better:

ModelSim,
SimVision,
Signalscan
Debussy?!

What do you think?
 

debussy vcd file

I think debussy is the best.
 

debpli:deb_pliptr

The graphic viewer of modelsim above version 5.7 looks very good.
 

novas debssy

I tried my best to integrate modelsim 5.7c and debussy 5.1, but I failed. Anyone knows if those two can work together? I am thinking about getting the debussy 5.2 and give it another shot.

Here is the problem:
(1) I linked everything according to the manual,
(2) I opened modelsim, and typed "$fsdbdumpvars();". Modelsim sais that it doesn't recognize it.

It would be great if someone can give me a clue. To answer the question of which waveform viewer is the best. I'll give debussy a vote after trying almost al of them. And it's mainly due to debussy's driver source back tracing capability. Modelsim's waveform takes too long to refresh, if the waveform is fairly big.
 

how to open debussy waveform viewer

Did you compile file "novas.vhd" first ?
 

vsim fsdb

Hi,

I finally get it work on Win2k (Modelsim + Debussy) on VHDL project:

copy novas_fli.dll from Debussy\share\pli\modelsim_fli54\winnt to
Modeltech\win32\

copy novas.vhd from Debussy\share\pli\modelsim_fli54\winnt to your .\SRC\ directory with other VHDL source files

compile novas.vhd, compile other source files

add at the top of your testbench:

library WORK;
use WORK.PKG.all;

insert new process into testbench:

debussy: process
begin
fsdbDumpfile("vhdl.fsdb");
fsdbDumpvars(0, "tb");
wait ;
end process;

after simulation run Debussy:

debussy -vhdl -f run.f

example of run.f :
__________________
./SRC/novas.vhd
./SRC/my_core.vhd
./SRC/testbench.vhd
__________________

you can load simulation results from File menu, select vhdl.fsdb.
Now you can start debugging, active tracing etc.
 

setenv debussy

if i want to use dump fsdb file with verilog-xl ,how to set up enviroment?
thanks!
 

debussy waveform

setenv LD_LIBRARY_PATH xxxxxx:$LD_LIBRARY_PATH

xxxxxx: the path of verilog xl PLI lib in debussy software.
 

vcs fsdbdumpvars

+ncelab_args+"-loadpli1 debpli:debptr"

BTW, I think cadence simvision is a very good waveform viewer.
 

novas debussy

thank you very much!!!

csh enviroment script

if ($?LD_LIBRARY_PATH) then
setenv LD_LIBRARY_PATH "${DEBUSSY}/share/PLI/nc_xl/LINUX/xl_loadpli1:$LD_LIBRARY_PATH"
else
setenv LD_LIBRARY_PATH "${DEBUSSY}/share/PLI/nc_xl/LINUX/xl_loadpli1"
endif

add fllowing line in rtl simulation Makefile or script

VERILOG_FLAGS += +loadpli1=debpli:deb_PLIPtr
 

fsdbdumpvars option vcs

ad fsdb file will be generated for your check.
 

$fsdbdumpvars manual

I don't know if there is a way to check sim wave on the fly.
 

debussy compile

>> I opened modelsim, and typed "$fsdbdumpvars();". Modelsim sais that it doesn't recognize it.

I have the same problem
if ModelSim can recongnize $fsdbDumpfile,$fsdbDumpvars for VHDL code

why Modelsim cannot recongnize these PLI in verilog code?

Hope some EDA master can help to solve this problem!!
 

debussy waveform viewer nwave debussy

You can dump the VCD file for Debussy to use:
$dumpvars;$dumpfile("filename");
then use debussy to open it, it will be translate to fsdb format by Debussy automatically.
Or you can use pli provided by Debussy when simuating, and dump fsdb file directly.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top