Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to remove assign statements in gatelevel netslit?

Status
Not open for further replies.

ls000rhb

Full Member level 3
Joined
Jun 17, 2005
Messages
185
Helped
7
Reputation
14
Reaction score
1
Trophy points
1,298
Activity points
2,425
after synthesis with DC, some assign statements still exist in the gatelevel netlist. I know this case should be avoided. but can anyone tell me how to solve the problem?if these assign statement still exist, what potential drawbacks it would cause ? please give some good instructions. Thanks
 

Hi,

After reading in the netlist containing assign statements and linking to libs, use the following command

set_fix_multiple_port_nets -all -buffer_constants

thx
snr_vlsi
 

if the parameter "set_fix_multiple_port_nets -all -buffer_constants" not work, how can I do?
 

omg assign statement in netlist..

U cannot map to any cell. what could be cause of such behaviour in DC?
 

set_fix_multiple_port_nets -all -buffer_constants [get_designs *]
compile -inc -only_design_rule
will remove all the assign statements in the netlsit.

atremp ,
what does it mean, set_fix_multiple_port_nets -all -buffer_constants doesnt work.

Do u mean, after setting this, still do u have assign statements in netlist. check the above procedure to remove the assign statements in netlsit

#==========
omg assign statement in netlist..

U cannot map to any cell. what could be cause of such behaviour in DC? .


The equaivalent cells are not avialble in the library. one such common case , if u try to repleaces latches with scanable elements.

#==============

--Sam
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top