Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to produce vhdl code using simulink?

Status
Not open for further replies.

Tom2

Full Member level 5
Joined
Nov 11, 2006
Messages
318
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Activity points
3,457
simulink to vhdl

Is anyone who have information about produce vhdl code using simulink?????
 

Re: simulink to vhdl

The VHDL source generated from Simulink is only in structural format, i.e., it's not in the behaviral one that allows easy reading. Before you can even do that, you must convert your design to a set of available fixed/floating point library functions then re-simulate your design to make sure that it still meets the overall requirement. After that you can convert the design to either VHDL/Verilog.
Cheers,
-s
 

Re: simulink to vhdl

Can you be more specific how can i do this.If you have any tutorial or an example will be very helpful.
 
  • Like
Reactions: Aya2002

    Aya2002

    Points: 2
    Helpful Answer Positive Rating
Re: simulink to vhdl

U should checkout the latest Matlab release which includes the Simulink HDL Coder that has the examples/tutorial material. Depending on what you have now or if u start a new project and so on. If you start a new one, you can look through the available library set of functions and work from there.
-s
 

Re: simulink to vhdl

guyz it can be used but i feel the code generated is not synthesizable..
please correct me if i am wrong..
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top