Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to print instance name?

Status
Not open for further replies.

viv_1005

Junior Member level 3
Joined
Feb 3, 2005
Messages
29
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,281
Activity points
232
does anybody know how to print instance name in verilog?

Thanks in advance
 

have you enabled the Display property for instance name ?

Normally this property is off, but you can switch it to value or a list of other selections.

D.
 

How to do that? Can you point me to any writeup on this?

Thanks
 

Hey Pini,
Thanks for the reply. Though I had already figured it out, I still am going to click on helped me. :)

- Vivek

Added after 2 minutes:

Giving a writeup found on net.

A %m in a $display format will print the full hierarchical name of the
scope in which it appears (generally a module instance). The %m does
not use up one of the arguments to the $display, since it is not printing
one of them.

module a ();
b b ();
endmodule


module b ();
initial
$display("My instance name is %m"); // My instance name is a.b
endmodule
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top