Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to multiply vector in vhdl

Status
Not open for further replies.

Cutey

Member level 2
Joined
Nov 6, 2009
Messages
51
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,607
Hi ppl
I'm new in vhdl
and I want to convert the following equation is written in matlab to vhdl
x=[-.1 -.1 .1 .1]*5
thanks in advance
 

first decide if you want to do floating point or fixed point (I would highly advise fixed point). Are you just trying to set a constant, or to do equations on the fly? From what you've posted, its just a constant.
 

Hi

I have an algorithm in matlab and I wanted to convert it to vhdl to implement it in FPGA, but i'm beginner in vhdl.
what is in matlab program is a vector (1D matrix)multiplyed by constant..the 1d matrix include fraction no. as above ....
so how can I presented it by vhdl please?

regard
 

so - do you want it fixed point or floating point?
Also - how good are your digital electronic skills? if they are beginner too, I suggest you go away and learn digital electronics before you even attempt to do what you are doing. VHDL is NOT a programming language and it is nothing like matlab.
 

I know it is not like matlab, I said I have an algorithm written in matlab wanted to convet it to vhdl ...and i'm a beginner in such discription language,is there is aproblem in this???
I'm an engineer in computer eng. and studying to get a M.Sc. in it..... does that enough???
 

do you understand and gates? not gates? registers? adders?
 

:)
I think yes, we studyed it at first class in college and then comp. arch. design in combinational gates

Added after 1 minutes:

about fixed or floating, yes I need it as a fixed as it is better and I know we have to shift a no. that is as a theory, but .... in vhdl ??? I have no idea how to multiply it
 

if I converted the fraction no. to the fixed theoritical to be 80Hex and use it in the code, is there is an instruction in VHDL to convert the result?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top