Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to make .do-like macro files in NC-VHDL simulator?

Status
Not open for further replies.

jitendra

Member level 3
Joined
Aug 20, 2004
Messages
58
Helped
10
Reputation
20
Reaction score
7
Trophy points
1,288
Location
India
Activity points
731
NCLaunch help

Hi all,
I am new to Cadence tools and need some help in NC-VHDL simulator.
In Modelsim I used to write *.do macro files to compile multiple files and performing some time consuming repeatative tasks and run this macro using command do *.do.
How can I do the same task in NC-VHDL simulator? Say I need to compile 100 vhdl files.
Your help is highly appreciated.
Thanks,
Jitendra
 

NCLaunch help

write the location of all the source files in a file.
and then type ncverilog -f "filelist" in unix prompt
 

Re: NCLaunch help

Thanks whizkid,
This works for compiling multiple files.
I request you to provide any solution for, say I want to:

1. Compile 100 files.
2. elaborate my design
3. simulate the snapshot

Can I do all these steps by writting some commands in a single files (macro)?

Thanks,
Jitendra
 

NCLaunch help

I havent worked with the NCsim GUI , except for the waveform viewer.

well.. I always dump the signal in .trn format(you can do it by adding few lines in the testbench , use $recordvars , $ recordfile taks ) and use signal scan to view it..
 

Re: NCLaunch help

jitendra said:
Hi all,
I am new to Cadence tools and need some help in NC-VHDL simulator.
In Modelsim I used to write *.do macro files to compile multiple files and performing some time consuming repeatative tasks and run this macro using command do *.do.
How can I do the same task in NC-VHDL simulator? Say I need to compile 100 vhdl files.
Your help is highly appreciated.
Thanks,
Jitendra

Hi,
In GUI you can enter command :
input /your path/compile.do

Regards
Elektor
 

Re: NCLaunch help

jitendra said:
I request you to provide any solution for, say I want to:

1. Compile 100 files.
2. elaborate my design
3. simulate the snapshot

Can I do all these steps by writting some commands in a single files (macro)?

Thanks,
Jitendra

I believe you are using LINUX/UNIX, if so why not use a simple shell script? Some thing like:

ncvhdl -f file.list # file.list contains 100 source files
ncelab <options> top_level
ncsim <options> snap_shot_name

You may also want to create run.tclcontaining TCL commands to control ncsim and provide it as ncsim -input run.tcl

HTH
Ajeetha
http://www.noveldv.com
 

Re: NCLaunch help

Thanks Ajeetha,
But I am running on windows.
Actually I want to write a macro which will compile a set of VHDL files depending on the arguments passed.
Arguments are usually options which decide weather to compile some file or not (some of the files are not required for particular mode of simulation).

thanks Elektor but i can't specify arguments with "input" or "source" command.


Thanks,
Jitendra
 

Re: NCLaunch help

jitendra said:
Thanks Ajeetha,
But I am running on windows.Thanks,
Jitendra

Try using Cygwin under windows, tcsh is well supported and hence you can use similar script there too. Also argument passing etc. are perfect fit for Scripting. You may also want to consider PERL for very advanced scripting.

HTH
Ajeetha
http://www.noveldv.com
 

NCLaunch help

hi,
can anyone specificaly focus some thing on 'snapshot'.

thanks in advance.
 

NCLaunch help

Maybe you can try to use Cshell...

#! /bin/csh

ncvhdl -update a.vhd
..
..
..
ncvhdl -update b.vhd


ncelab $1
ncsim $1

(end)

you name this script as run.

then you "run aaa" is ok...
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top