Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to make a synthesizer use only specific gates in a design ?

Status
Not open for further replies.

eladla

Member level 4
Joined
Jul 10, 2009
Messages
78
Helped
15
Reputation
32
Reaction score
12
Trophy points
1,288
Activity points
1,817
Hi,
I am synthesizing some verilog code I wrote and want the synthesizer to only use specific gates in the design, NAND2/3, NOR2/3, NXOR2, INV and DFF.

Is there any way to do this?
I have two tools at my disposal: Leonardo spectrum and synopsys Synplify.

Thanks!
 

Re: Limit gate use

Which device are you targeting?

Like in case of Xilinx FPGAs you can instantiate those gates from UniSim library to be sure only they are used by your synthesis tool.
 
  • Like
Reactions: eladla

    eladla

    Points: 2
    Helpful Answer Positive Rating
Re: Limit gate use

I'm designing for an ASIC on the Leonardo side
and for a yet undetermined Altera device on the Synopsys.

Help me! Please! :)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top