how to link different blocks in vhdl?

Status
Not open for further replies.

surya92

Junior Member level 1
Joined
Sep 21, 2012
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,373
how to link different blocks in vhdl?
i have a memory which is to be linked to a controller...how to do this with port_map..
 

we can use the packages to link different blocks.
package body declaration; inputs, outputs declaration.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…