Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] How to intialize a signal in portmap

Status
Not open for further replies.

suhas007

Newbie level 5
Joined
Jul 25, 2011
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,347
for eg i hav
entity abc is
port(a:in std_logic;
b:eek:ut std_logic);
end abc;

architecture
component def
port(a:in std_logic;
b:eek:ut std_logic);
end def;

begin
uut:def portmap(a=>,
b=>b);
end


Now if i want to intialize a to '1' then how to do that in portmap statement
 

do you mean initialise or connect it to?
Initialisation is done at start up and is usually done on a signal that can transition.
Connecting a to '1' means it is driving '1' and will always be '1'.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top