Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to instantiate a RAM verilog model in the synthesizer?

Status
Not open for further replies.

lordsathish

Full Member level 5
Joined
Feb 11, 2006
Messages
246
Helped
33
Reputation
66
Reaction score
3
Trophy points
1,298
Location
Asia
Activity points
2,698
Hi every one... I'm new to this domain... i'm working on a design that uses large RAM's... that is the depth of the RAM is only 64byte... but i've to use many such RAM's in parellel... could any one give me idea of the a verilog model of the RAM... and how to instantiate it in the synthesiser...
Thanks in Advance...
 

Re: RAM verilog model

If you are using dual-port RAMs then just write the verilog code for the same and instantiate it like any other module.
 

Re: RAM verilog model

You can check the site www.asic-world.com.....
You can get RAM verilog examples in this site.....
 

RAM verilog model

synthesiser ? wht does it mean !
In verilog memory is implemented usings arrays n ram ips r available ...ready made
 

Re: RAM verilog model

i'm mean when i synthesis the code... i'm using RTL compiler...

Added after 5 minutes:

hey i'm using a dual port 64byte asynchronous ram... please can any one tell me how to write a verilog model...

Added after 4 hours 30 minutes:

what is the minimum size of RAM in ASIC... can there be a RAM as small as 64bytes...
 

Re: RAM verilog model

Hi... does anyone know about the memory compiler from cadence... Is it RT SUN...
can any one of you help me to learn using it...
 

RAM verilog model

Not there is no memory compiler from cadence,

Actually almost is from library vendor.

U can try Artisen
 

RAM verilog model

is the ram come from the vendor?
the vendor should provide you the module
 

RAM verilog model

Is there anything special when you simulating the SRAM?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top