Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

How to insert spare cells ?

Status
Not open for further replies.

zeese

Member level 1
Joined
May 21, 2002
Messages
32
Helped
1
Reputation
2
Reaction score
0
Trophy points
1,286
Activity points
230
insert_spare_cells

I have two questions regarding above.

1) Can I insert spare cells using Synopsys design compiler?

2) What are things that need to be considered if I want to do
compilation for design that have spare cells. What I mean
is do I have to do set dont touch for the spare cells, or make
sure it is connected to hi/low, etc. Please let me know things
that I have to write in my script before compile.

I appreciate your help
 

dc_shell spare

can u tell why do u want to insert spare cells?. If u are thinking of spare cells from FAB point of view, that will be done in back-end.
 

Actually, I was asked by layout person to do this.

This is the first time I heard about spare cells. So, I'm
still learning. And so far, I only use DC, not physical compiler.

I check the manual, the command does exist in physical compiler,
which is psyn_shell-t> insert_spare_cells -lib_cell .....

So, maybe, it can be done both at front end and backend. In my
case, I was asked to do it, which is need to be done at front end.

So, I need answers for the questions that I posted in my first post.
 

please read spare cell in dc,let back-end to layout
 

what do you mean by read spare cell in DC?

The only command that I know is "insert_spare_cells",
but that command is not recognized by dc, eventhough
that command is shown if I type dc_shell-t>man insert_spare_cells.

What other command should I use in DC, or does it not working
in DC (back to my question no. 1 in my first post).
 

You can create a spare gate module and instantiate it in other modules in upper level.
But you need to tie at least one signal to this spare gate I/O port.
Remember set_dont_touch to this module in DC.
 

you may insert themwhen you layout your chip.
after the place the cell, You may insert the spare cell as same as the insert the filler.
 

We talking about spare gates to be used in an possible ECO, right ?

Just make an HDL file with all the gates you want and tell Synopsys
don't touch.

You P&R program will not remove them, just tell it to place them
evenly in your ASIC as you never know where you will need them.

There is a small power penalty that you pay... but generally speaking you
can put a lot of these.
 

mefirst1808 said:
How to place spare cells evenly in Soc Encounter???

Look at the commands: createSpareModule and placeSpareModule
 

For me they are two king of spare cell:

the spare cell added to the netlist in SOC encounter flow, at the place step, used to fixed some logic bug.

Some spare cell include at the RTL code, to add function requires to fix behavior, more related to analog stuff, example added unused mapped register to directly connected to analog element or reading back some analog info, for fixing/feature fix.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top