Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

how to insert delay buffer?

Status
Not open for further replies.

tavidu

Member level 1
Joined
Oct 21, 2004
Messages
39
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
330
insert buffers to provide delay

I want to insert several buffer in order to delay a signal, about 2ns at least, but 3ns at most.
After I insert buffers, and do STA, the delay at best case is 2.01ns, but reach 4ns at worst case , more than 3ns :-(
So anyone can help me how to insert delay, that can meet timing at both best case and worst case.
I dont know whether some special library cell can meet these situation.(I use Artisan library)
 

Can U help me how to insert buffers into DC and then do STA with PT
Waiting for your reply
Kshitij Sukhwal
 

I always do placement and trial route before inserting clock tree.
 

Hi,
You can insert buffers in PrimeTime directly.
Generally speaking, a single buffer does not have that huge timing difference between best case and worst case. You must cascade a series of buffers, right? However, when cascading buffers, it's not a good idea to connect buffers with the same drive strength, say, all the buffers are in 12X. The reason is the input signal may not have enough strength to drive the initial stage cause the input capacitance of 12X buffer is relatively high. The better choice is to increase the buffer size progressively and tune the total delay into the desired zone.
Hope this helps

ceyjey
 

hi,
you can insert the buffer directly in primetime.

with regards,
kul
 

the exact delay is troublesome in design. Only the exact delay after P&R is reliable. Just run hspice, to try, and fix the delay chain first when you do place and route. then extract the RC, use primetime to analyse it.
 

Manul inserting buffer is not a GOOD WAY TO SOLVE THE PROBLEM.
TRUST YOUR P&R TOOLS. THEY WILL HELP YOU TO PASS THROUGH THE
STA.
 

if you can not solve this problem in primetime, you can ignore it temporary, and solve it in layout tools by physical method.
 

that's difficult to realize your dream, because gate's delay can vary 2 times from

best case to worst case, I think you should find other measure to deal with

your problem, there should be another method to conquer with the problem that

you face. good luck.

tavidu said:
I want to insert several buffer in order to delay a signal, about 2ns at least, but 3ns at most.
After I insert buffers, and do STA, the delay at best case is 2.01ns, but reach 4ns at worst case , more than 3ns :-(
So anyone can help me how to insert delay, that can meet timing at both best case and worst case.
I dont know whether some special library cell can meet these situation.(I use Artisan library)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top